CONF.bib

@comment{{This file has been generated by bib2bib 1.99}}
@comment{{Command line: bib2bib -ob CONF.bib ABBREV.bib CONFERENCES_JC.bib}}
@comment{{"\newcommand\noopsort[1]"}}
@comment{{==================================================================== }}
@comment{{Predefined journals                           }}
@comment{{==================================================================== }}
@comment{{==================================================================== }}
@comment{{Predefined publishers                          }}
@comment{{==================================================================== }}
@comment{{==================================================================== }}
@comment{{Predefined series                              }}
@comment{{==================================================================== }}
@comment{{==================================================================== }}
@comment{{Predefined conference proceedings                      }}
@comment{{==================================================================== }}
@inproceedings{Teren2023,
  author = {Viktor Teren and Jordi Cortadella and Tiziano Villa},
  title = {{Seto: a framework for the decomposition of Petri
nets and transition systems}},
  booktitle = {26th Euromicro Conference on Digital System Design (DSD)},
  month = sep,
  year = 2023,
  pages = {669-677},
  pdf = {files/dsd2023_seto.pdf},
  doi = {10.1109/DSD60849.2023.00096}
}
@inproceedings{Xu2023,
  author = {Jiahui Xu and Emmet Murphy and Jordi Cortadella and Lana Josipovi\'c},
  title = {{Eliminating Excessive Dynamism of Dataflow Circuits Using Model Checking}},
  booktitle = {ACM/SIGDA International Symposium on Field-Programmable Gate Arrays},
  pages = {27-37},
  month = feb,
  year = {2023},
  .pdf = {},
  doi = {10.1145/3543622.3573196}
}
@inproceedings{Teren2022,
  author = {Viktor Teren and Jordi Cortadella and Tiziano Villa},
  title = {{Decomposition of transition systems into sets of synchronizing Free-choice Petri nets}},
  booktitle = {25th Euromicro Conference on Digital System Design (DSD)},
  month = sep,
  year = 2022,
  pages = {165-173},
  .pdf = {files/tcv-dsd2022.pdf},
  doi = {10.1109/DSD57027.2022.00031}
}
@inproceedings{Teren2021,
  author = {Viktor Teren and Jordi Cortadella and Tiziano Villa},
  title = {Decomposition of transition systems into sets of synchronizing state machines},
  booktitle = {24th Euromicro Conference on Digital System Design (DSD)},
  month = sep,
  year = 2021,
  pages = {77-81},
  pdf = {files/tcv-dsd2021.pdf},
  doi = {10.1109/DSD53832.2021.00021}
}
@inproceedings{Cardoso2020,
  author = {Maicon Cardoso and Andrei Bubolz and Jordi Cortadella and Leomar Rosa and Felipe Marques},
  booktitle = {Proc. International Symposium on Circuits and Systems},
  title = {Transistor Placement for Automatic Cell Synthesis through Boolean Satisfiability},
  month = oct,
  year = {2020},
  pages = {1-5},
  pdf = {files/iscas2020.pdf},
  doi = {10.1109/ISCAS45731.2020.9181137}
}
@inproceedings{Bernasconi2020,
  author = {Anna Bernasconi and Valentina Ciriani and Jordi Cortadella and Tiziano Villa},
  title = {{Computing the full quotient in bi-decomposition by approximation}},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  pages = {580--585},
  month = mar,
  year = {2020},
  pdf = {files/DATE2020.pdf},
  doi = {10.23919/DATE48585.2020.9116249}
}
@inproceedings{Josipovic2020,
  author = {Lana Josipovi\'c and Shabnam Sheikha and Andrea Guerrieri and Paolo Ienne and Jordi Cortadella},
  title = {{Buffer Placement and Sizing for High-Performance Dataflow Circuits}},
  booktitle = {ACM/SIGDA International Symposium on Field-Programmable Gate Arrays},
  pages = {186--196},
  month = feb,
  year = {2020},
  pdf = {files/FPGA2020.pdf},
  doi = {10.1145/3373087.3375314},
  note = {{\bf Best paper award}}
}
@inproceedings{Shan2019,
  author = {Junnan Shan and Mario R. Casu and Jordi Cortadella and Luciano Lavagno and Mihai T. Lazarescu},
  title = {{Exact and Heuristic Allocation of Multi-kernel Applications to Multi-FPGA Platforms}},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {3:1--3:6},
  month = jun,
  year = {2019},
  doi = {10.1145/3316781.3317821},
  pdf = {files/dac2019.pdf}
}
@inproceedings{Moreno2019_WTG,
  author = {Alberto Moreno and Danil Sokolov and Jordi Cortadella},
  title = {Synthesis from Waveform Transition Graphs},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {60--67},
  month = may,
  year = {2019},
  pdf = {files/async2019_wtg.pdf},
  ppt = {files/async2019_wtg_slides.pdf}
}
@inproceedings{Paulweber2019,
  author = {Philipp Paulweber and J\"{u}rgen Maier and Jordi Cortadella},
  title = {Unified (A)Synchronous Circuit Development},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  month = may,
  year = {2019},
  pdf = {files/async2019_unified.pdf}
}
@inproceedings{Vidal2019_Placement,
  author = {Alex Vidal-Obiols and Jordi Cortadella and Jordi Petit and Marc Galceran-Oms and Ferran Martorell},
  title = {{RTL-Aware Dataflow-Driven Macro Placement}},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  year = 2019,
  pdf = {files/date2019_placement.pdf}
}
@inproceedings{Machado2018_IWLS,
  author = {Lucas Machado and Jordi Cortadella},
  title = {Support-Reducing Functional Decomposition for {FPGA} Technology Mapping},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = jun,
  year = 2018,
  pdf = {files/iwls2018_FPGA.pdf}
}
@inproceedings{Moreno2018_CSC,
  author = {Alberto Moreno and Jordi Cortadella},
  title = {State Encoding of Asynchronous Controllers using Pseudo-Boolean Optimization},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {9--16},
  month = may,
  year = {2018},
  pdf = {files/Async2018_CSC.pdf}
}
@inproceedings{Cortadella2017_Asilomar,
  author = {Jordi Cortadella and Jordi Petit},
  title = {A Hierarchical Mathematical Model for Automatic Pipelining and Allocation
               using Elastic Systems},
  booktitle = {51st Asilomar Conference on Signals, Systems \& Computers},
  pages = {115--120},
  month = oct,
  year = {2017},
  pdf = {files/ElasticModel_Asilomar2017.pdf},
  ppt = {files/ElasticModel_Asilomar2017.pptx}
}
@inproceedings{Machado2017_ISVLSI,
  author = {Lucas Machado and Antoni Roca and Jordi Cortadella},
  title = {Voltage Noise Analysis with Ring Oscillator Clocks},
  booktitle = {Proc. IEEE Computer Society Annual Symposium on VLSI},
  pages = {86--95},
  month = jul,
  year = {2017},
  pdf = {files/VoltageNoise_ISVLSI2017.pdf},
  ppt = {files/VoltageNoise_ISVLSI2017.pptx}
}
@inproceedings{Mokhov2017_ACSD,
  author = {Andrey Mokhov and Jordi Cortadella and Alessandro de Gennaro},
  title = {Process Windows},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  pages = {86--95},
  month = jun,
  year = {2017},
  pdf = {files/ProcessWindows_ACSD2017.pdf},
  ppt = {files/ProcessWindows_ACSD2017.pptx}
}
@inproceedings{Cortadella2017_WTG,
  author = {Jordi Cortadella and Alberto Moreno and Danil Sokolov and Alex Yakovlev and David Lloyd},
  title = {Waveform Transition Graphs: a designer-friendly formalism for asynchronous behaviours},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {73--74},
  month = may,
  year = {2017},
  pdf = {files/Async2017_WTG.pdf}
}
@inproceedings{Moreno2017,
  author = {Alberto Moreno and Jordi Cortadella},
  title = {Synthesis of All-Digital Delay Lines},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {75--82},
  month = may,
  year = {2017},
  pdf = {files/Async2017_DelayLines.pdf}
}
@inproceedings{Obiols2017,
  author = {Alex Vidal{-}Obiols and Jordi Cortadella and Jordi Petit},
  title = {Under-the-Cell Routing to Improve Manufacturability},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  pages = {125--130},
  month = may,
  year = {2017},
  pdf = {files/GLSVLSI2017_Routing.pdf}
}
@inproceedings{Machado2017_glsvlsi,
  author = {Lucas Machado and Jordi Cortadella},
  title = {Boolean Decomposition for {AIG} Optimization},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  pages = {143--148},
  month = may,
  year = {2017},
  pdf = {files/GLSVLSI2017_AIG.pdf}
}
@inproceedings{Machado2017_rees,
  author = {Lucas Machado and Jordi Cortadella and Antoni Roca},
  title = {Increasing the Robustness of Digital Circuits with Ring Oscillator Clocks},
  booktitle = {2nd International Workshop on Resiliency in Embedded Electronic Systems (REES)},
  month = mar,
  year = {2017},
  pdf = {files/REES2017.pdf}
}
@inproceedings{deSanPedro2016_bpm,
  author = {Javier de San Pedro and Jordi Cortadella},
  title = {Discovering Duplicate Tasks in Transition Systems for the Simplification of Process Models},
  booktitle = {Proc. 14th Int. Conf. Business Process Management},
  publisher = {Springer-Verlag},
  series = {Lecture Notes in Computer Science},
  volume = 9850,
  pages = {108--124},
  year = {2016},
  doi = {10.1007/978-3-319-45348-4_7},
  pdf = {files/BPM2016.pdf}
}
@inproceedings{Cortadella2016,
  author = {Jordi Cortadella and Marc Lupon and Alberto Moreno and Antoni Roca and Sachin S. Sapatnekar},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  title = {Ring Oscillator Clocks and Margins},
  year = 2016,
  month = may,
  note = {{\bf Best paper award}},
  pages = {19--26},
  pdf = {files/RingOscillatorClocks_Async2016.pdf},
  ppt = {files/RingOscillatorClocks_Async2016.pptx}
}
@inproceedings{deSanPedro2016_async,
  author = {Javier de San Pedro and Thomas Bourgeat and Jordi Cortadella},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  title = {Specification mining of asynchronous controllers},
  year = 2016,
  month = may,
  pages = {107--114},
  pdf = {files/SpecMining_Async2016.pdf},
  ppt = {files/SpecMining_Async2016.pptx}
}
@inproceedings{deSanPedro2016_sac,
  author = {Javier de San Pedro and Jordi Cortadella},
  booktitle = {{31st ACM Symposium on Applied Computing}},
  title = {Mining Structured Petri Nets for the Visualization of Process Behavior},
  year = 2016,
  month = apr,
  pages = {839--846},
  pdf = {files/Mining_SAC2016.pdf},
  ppt = {files/Mining_SAC2016.pptx}
}
@inproceedings{Cortadella2015,
  author = {Jordi Cortadella and Luciano Lavagno and Pedro L\'opez and Marc Lupon and Alberto Moreno and Antoni Roca and Sachin S. Sapatnekar},
  booktitle = {Proc. International Conf. Computer Design (ICCD)},
  title = {Reactive Clocks with Variability-Tracking Jitter},
  year = 2015,
  month = oct,
  pages = {540--547},
  pdf = {files/ReactiveClocks_ICCD2015.pdf},
  ppt = {files/ReactiveClocks_ICCD2015.pptx}
}
@incollection{deSanPedro2015,
  author = {Javier de San Pedro and Josep Carmona and Jordi Cortadella},
  title = {Log-Based Simplification of Process Models},
  booktitle = {Proc. 13th Int. Conf. Business Process Management},
  year = 2015,
  month = sep,
  pages = {457--474},
  publisher = {Springer-Verlag},
  series = {Lecture Notes in Computer Science},
  volume = {9253},
  doi = {10.1007/978-3-319-23063-4_30},
  pdf = {files/BPM2015.pdf}
}
@inproceedings{Jain2015,
  author = {Palkesh Jain and Sachin S. Sapatnekar and Jordi Cortadella},
  booktitle = {Proc. of Asia and South Pacific Design Automation Conference},
  title = {A Retargetable and Accurate Methodology for
           Logic-IP-internal Electromigration Assessment},
  year = 2015,
  month = jan,
  pages = {346--351},
  pdf = {files/aspdac2015_em.pdf}
}
@inproceedings{deSanPedro2014,
  author = {Javier de San Pedro and Jordi Cortadella and Antoni Roca},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  title = {A Hierarchical Approach for Generating Regular Floorplans},
  year = 2014,
  month = nov,
  pages = {655--662},
  pdf = {files/floorplan_iccad2014.pdf}
}
@inproceedings{Beer2014,
  author = {Salomon Beer and Marco Cannizzaro and Jordi Cortadella and Ran Ginosar and Luciano Lavagno},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  title = {Metastability in Better-Than-Worst-Case Designs},
  year = 2014,
  month = may,
  pages = {101-102},
  pdf = {files/metastability_async2014.pdf}
}
@inproceedings{Dimitrakopoulos2014,
  author = {Giorgos Dimitrakopoulos and Ioannis Seitanidis and Anastasios Psarras and Kostas M. Tsiouris and Pavlos M. Mattheakis and Jordi Cortadella},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  title = {Hardware primitives for the synthesis of multithreaded elastic systems},
  year = 2014,
  month = mar,
  pages = {1--4},
  pdf = {files/mt_elastic_date2014.pdf}
}
@inproceedings{deSanPedro2013,
  author = {Javier de San Pedro and Nikita Nikitin and Jordi Cortadella and Jordi Petit},
  title = {Physical planning for the architectural exploration of large-scale chip multiprocessors},
  booktitle = {Proc. of the IEEE/ACM International Symp. on Networks-on-Chip (NoCS)},
  month = apr,
  year = 2013,
  pages = {1--2},
  pdf = {files/nocs2013.pdf}
}
@inproceedings{Cortadella2013,
  author = {Jordi Cortadella and Javier de San Pedro and Nikita Nikitin and Jordi Petit},
  title = {Physical-aware system-level design for tiled hierarchical
               chip multiprocessors},
  booktitle = {Proc. International Symposium on Physical Design},
  month = mar,
  year = 2013,
  pages = {3--10},
  pdf = {files/ispd2013.pdf}
}
@inproceedings{Nikitin2012b,
  author = {Nikita Nikitin and Javier de San Pedro and Josep Carmona and Jordi Cortadella},
  title = {Analytical Performance Modeling of Hierarchical Interconnect Fabrics},
  booktitle = {Proc. of the IEEE/ACM International Symp. on Networks-on-Chip (NoCS)},
  month = may,
  year = 2012,
  pages = {107--114},
  pdf = {files/nocs2012.pdf}
}
@inproceedings{deSanPedro2012,
  author = {Javier de San Pedro and Josep Carmona and Jordi Cortadella and Jordi Petit},
  title = {Integrating Formal Verification in an Online Judge for
           e-Learning Logic Circuit Design},
  booktitle = {Proc. ACM Technical Symp. on Computer Science Education (SIGCSE)},
  month = feb,
  year = 2012,
  pages = {451--456},
  pdf = {files/SIGCSE2012_jutge.pdf}
}
@inproceedings{Nikitin2012a,
  author = {Nikita Nikitin and Jordi Cortadella},
  title = {Static Task Mapping for Tiled Chip Multiprocessors with Multiple Voltage Islands},
  booktitle = {25th Int. Conf. on Architecture of Computing Systems (ARCS)},
  month = feb,
  year = 2012,
  pages = {50--62},
  pdf = {files/arcs2012.pdf},
  url = {http://link.springer.com/chapter/10.1007%2F978-3-642-28293-5_5}
}
@inproceedings{Perez2011,
  author = {Jordi P\'erez-Puigdemont and Francesc Moll and Jordi Cortadella},
  title = {Measuring the tolerance of self-adaptive clocks to supply voltage noise},
  booktitle = {26th Conf. on Design of Circuits and Integrated Systems (DCIS)},
  pages = {399--404},
  month = nov,
  year = 2011,
  pdf = {http://upcommons.upc.edu/e-prints/bitstream/2117/15365/1/DCIS2011_Perez_published.pdf}
}
@inproceedings{Galceran2010,
  author = {Marc Galceran-Oms and Jordi Cortadella and Mike Kishinevsky},
  title = {Symbolic performance analysis of elastic systems},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2010,
  pages = {778-785},
  pdf = {files/symbperf_iccad2010.pdf}
}
@incollection{Carmona_ECML_PKDD2010,
  author = {Josep Carmona and Jordi Cortadella},
  title = {Process Mining meets Abstract Interpretation},
  booktitle = {Proc. European Conference on Machine Learning and
               Principles and Practice of Knowledge Discovery in Databases (ECML PKDD)},
  month = sep,
  year = 2010,
  pages = {184--199},
  publisher = {Springer-Verlag},
  series = {Lecture Notes in Artificial Intelligence},
  volume = 6321,
  pdf = {files/ECML_PKDD_2010.pdf}
}
@inproceedings{Cortadella_memocode2010,
  author = {Jordi Cortadella and Marc Galceran-Oms and Mike Kishinevsky},
  title = {Elastic Systems},
  booktitle = {Proc. 8th ACM/IEEE Int. Conf. on Formal Methods and Models for Codesign (MEMOCODE 2010)},
  month = jul,
  year = 2010,
  pages = {149--158},
  pdf = {files/memocode2010.pdf}
}
@inproceedings{Cortadella_icicdt2010,
  author = {Jordi Cortadella and Luciano Lavagno and Djavad Amiri and Jon\`{a}s Casanova and Carlos Maci\'{a}n
            and Ferran Martorell and Juan A. Moya and Luca Necchi and Danil Sokolov and Emre Tuncer},
  title = {Narrowing the Margins with Elastic Clocks},
  booktitle = {Proc. IEEE Int. Conf. on Integrated Circuit Design and Technology (ICICDT)},
  month = jun,
  year = 2010,
  pages = {146--150},
  pdf = {files/icicdt2010_elastic.pdf}
}
@inproceedings{nikitin2010,
  author = {Nikita Nikitin and S. Chatterjee and Jordi Cortadella and Mike Kishinevsky
            and Umit Ogras},
  title = {Physical-Aware Link Allocation and Route Assignment
           for Chip Multiprocessing},
  booktitle = {Proc. 4th ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS)},
  month = may,
  year = 2010,
  pages = {125--134},
  pdf = {files/nocs_2010.pdf}
}
@inproceedings{pipelining_date2010,
  author = {Marc Galceran-Oms and Jordi Cortadella and Mike Kishinevsky and Dmitry Bufistov},
  title = { Automatic Microarchitectural Pipelining },
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  pages = {961--964},
  month = apr,
  year = 2010,
  pdf = {files/pipelining_date2010.pdf}
}
@inproceedings{Nikitin09,
  author = {Nikita Nikitin and Jordi Cortadella},
  title = {A Performance Analytical Model for {Network-on-Chip}
          with Constant Service Time Routers},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2009,
  pages = {571--578},
  pdf = {files/noc_iccad09.pdf}
}
@inproceedings{Casanova09,
  author = {Jon\`{a}s Casanova and Jordi Cortadella},
  title = {Multi-Level Clustering for Clock Skew Optimization},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2009,
  pages = {547--554},
  pdf = {files/clustering_iccad09.pdf}
}
@incollection{Carmona_BPM09,
  author = {Josep Carmona and Jordi Cortadella and Mike Kishinevsky},
  title = {Divide-and-Conquer Strategies for Process Mining},
  booktitle = {Proc. 7th Int. Conf. Business Process Management},
  month = sep,
  year = 2009,
  pages = {327-343},
  publisher = {Springer-Verlag},
  series = {Lecture Notes in Computer Science},
  volume = {5701},
  doi = {10.1007/978-3-642-03848-8_22}
}
@inproceedings{Bufistov09,
  author = {Dmitry Bufistov and Jordi Cortadella and Marc Galceran-Oms and Jorge J\'{u}lvez and Mike Kishinevsky},
  title = {Retiming and Recycling for Elastic Systems with Early Evaluation},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jul,
  year = 2009,
  pages = {288--291},
  pdf = {files/rr_early_dac09.pdf}
}
@inproceedings{Galceran-Oms09,
  author = {Marc Galceran-Oms and Jordi Cortadella and Mike Kishinevsky},
  title = {Speculation in Elastic Systems},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jul,
  year = 2009,
  pages = {292--295},
  pdf = {files/speculation_dac09.pdf}
}
@inproceedings{Elastix_dac09,
  author = {Emre Tuncer and Jordi Cortadella and Luciano Lavagno},
  title = {Enabling adaptability through elastic clocks},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jul,
  year = 2009,
  pages = {8--10},
  pdf = {files/elastix_dac09.pdf}
}
@inproceedings{Carmona_acsd09,
  author = {Josep Carmona and Jorge J\'{u}lvez and Jordi Cortadella and Mike Kishinevsky},
  title = {Scheduling synchronous elastic designs},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  month = jun,
  year = 2009,
  note = {{\bf Best paper award}},
  url = {https://upcommons.upc.edu/handle/2117/20078?locale-attribute=en}
}
@inproceedings{pipelining_iwls2009,
  author = {Marc Galceran-Oms and Jordi Cortadella and Mike Kishinevsky and Dmitry Bufistov},
  title = { Automatic Microarchitectural Pipelining },
  booktitle = {Proc. International Workshop on Logic Synthesis},
  pages = {214--221},
  month = jun,
  year = 2009
}
@inproceedings{Baneres09b,
  author = {David Ba{\~n}eres and Jordi Cortadella and Mike Kishinevsky},
  title = {Timing-driven N-way decomposition},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  month = may,
  year = 2009,
  pages = {363--368},
  pdf = {files/baneres_glvlsi09.pdf}
}
@inproceedings{Baneres09a,
  author = {David Ba{\~n}eres and Jordi Cortadella and Mike Kishinevsky},
  title = {Variable-Latency Design by Function Speculation},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  month = mar,
  year = 2009,
  pages = {1704-1709},
  pdf = {files/date09_varlatency.pdf}
}
@inproceedings{Bufistov08,
  author = {Dmitry Bufistov and Jorge J\'ulvez and Jordi Cortadella},
  title = {Performance Optimization of Elastic Systems using Buffer Resizing and
               Buffer Insertion},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2008,
  pages = {442--448},
  pdf = {files/resizing_insertion_iccad08.pdf}
}
@inproceedings{Kam08,
  author = {Timothy Kam and Mike Kishinevsky and Jordi Cortadella and Marc Galceran-Oms},
  title = {Correct-by-Construction Microarchitectural Pipelining},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2008,
  pages = {434--441},
  pdf = {files/micro_pipelining_iccad08.pdf}
}
@inproceedings{Gorgonio08,
  author = {Kyller Gorg{\^o}nio and Jordi Cortadella},
  title = {Hardware Synthesis for Asynchronous Communications Mechanisms},
  booktitle = {Int. Conf. of the Chilean Computer Science Society (SCCC)},
  month = nov,
  year = 2008,
  pages = {135--143},
  pdf = {files/acm_sccc08.pdf}
}
@inproceedings{Ziyatdinov08,
  author = {Andrey Ziyatdinov and David Ba{\~n}eres and Jordi Cortadella},
  title = {Multi-Clustering Net Model for Placement Algorithms},
  booktitle = {Proc. 16th IFIP/IEEE Int. Conf. on Very Large Scale Integration},
  month = oct,
  year = 2008,
  pdf = {files/MCN-VLSISoC-Oct2008.pdf}
}
@incollection{Carmona08b,
  author = {Josep Carmona and Jordi Cortadella and Mike Kishinevsky},
  title = {A Region-Based Algorithm for Discovering {Petri} Nets from Event Logs},
  booktitle = {Proc. 6th Int. Conf. on Business Process Management (BPM)},
  series = {Lecture Notes in Computer Science},
  volume = 5240,
  publisher = {Springer-Verlag},
  pages = {358--373},
  month = sep,
  year = 2008
}
@incollection{Carmona08,
  author = {Josep Carmona and Jordi Cortadella and Mike Kishinevsky and Alex Kondratyev and
               Luciano Lavagno and Alex Yakovlev},
  title = {A Symbolic Algorithm for the Synthesis of Bounded {Petri} Nets},
  booktitle = {Applications and Theory of Petri Nets and Other Models of Concurrency
               (ICATPN)},
  series = {Lecture Notes in Computer Science},
  volume = 5062,
  publisher = {Springer-Verlag},
  month = jun,
  year = 2008,
  pages = {92--111},
  doi = {10.1007/978-3-540-68746-7_10}
}
@inproceedings{Bufistov07,
  author = {Dmitry Bufistov and Jordi Cortadella and Mike Kishinevsky and Sachin S. Sapatnekar},
  title = {A general model for performance optimization of sequential systems},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2007,
  pages = {362--369},
  pdf = {files/retiming_recycling_iccad07.pdf}
}
@incollection{Gorgonio07,
  author = {Kyller Gorg{\^o}nio and Jordi Cortadella and Fei Xia},
  title = {A Compositional Method for the Synthesis of Asynchronous
               Communication Mechanisms},
  booktitle = {Applications and Theory of Petri Nets and Other Models of Concurrency (ICATPN)},
  series = {Lecture Notes in Computer Science},
  volume = 4546,
  publisher = {Springer-Verlag},
  month = jun,
  year = 2007,
  pages = {144--163},
  pdf = {files/atpn-2007.pdf}
}
@inproceedings{Cortadella07,
  author = {Jordi Cortadella and Mike Kishinevsky},
  title = {Synchronous Elastic Circuits with Early Evaluation and Token Counterflow},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jun,
  year = 2007,
  pages = {416--419},
  pdf = {files/dac07_early.pdf}
}
@inproceedings{Baneres07,
  author = {David Ba{\~n}eres and Jordi Cortadella and Mike Kishinevsky},
  title = {Layout-Aware Gate Duplication and Buffer Insertion},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  month = apr,
  year = 2007,
  pages = {1367--1372},
  pdf = {files/date07_buf.pdf}
}
@inproceedings{Krstic06fmcad,
  author = {Sava Krsti\'{c} and Jordi Cortadella and Mike Kishinevsky
              and John O'Leary},
  title = {Synchronous Elastic Networks},
  booktitle = {International Conference on Formal Methods in 
                  Computer-Aided Design (FMCAD)},
  year = 2006,
  month = nov,
  pdf = {reports/fmcad06_ext.pdf}
}
@inproceedings{Carmona06iccad,
  author = {Josep Carmona and Jordi Cortadella and Yousuke Takada and Ferdinand Peper},
  title = {From molecular interactions to gates: a systematic approach},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2006,
  pdf = {files/iccad06_mol.pdf}
}
@inproceedings{Julvez06iccad,
  author = {Jorge J\'{u}lvez and Jordi Cortadella and Mike Kishinevsky},
  title = {Performance analysis of concurrent systems with early
               evaluation},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2006,
  pdf = {files/iccad06_early.pdf}
}
@inproceedings{Carmona06dac,
  author = {Josep Carmona and Jordi Cortadella},
  title = {State Encoding of Large Asynchronous Controllers},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jul,
  year = 2006,
  pages = {939--944},
  pdf = {files/dac06_csc.pdf},
  ppt = {files/dac06_csc.ppt}
}
@inproceedings{Cortadella06dac,
  author = {Jordi Cortadella and Mike Kishinevsky and Bill Grundmann},
  title = {Synthesis of Synchronous Elastic Architectures},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jul,
  year = 2006,
  pages = {657--662},
  pdf = {files/dac06_self.pdf},
  ppt = {files/dac06_self.ppt}
}
@inproceedings{Baneres06,
  author = {David Ba{\~n}eres and Jordi Cortadella and Mike Kishinevsky},
  title = {Dominator-based partitioning for delay optimization},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  month = apr,
  year = 2006,
  pages = {67--72},
  pdf = {files/glvlsi06.pdf}
}
@inproceedings{Krstic06dcc,
  author = {Sava Krsti\'{c} and Jordi Cortadella and Mike Kishinevsky
              and John O'Leary},
  title = {Synchronous Elastic Networks},
  editor = {Mary Sheeran and Tom Melham},
  booktitle = {Sixth International Workshop on
                {D}esigning {C}orrect {C}ircuits ({DCC})},
  publisher = {ETAPS 2006},
  year = 2006,
  month = mar
}
@inproceedings{Cortadella06tau,
  author = {Jordi Cortadella and Mike Kishinevsky and Bill Grundmann},
  title = {Specification and design of synchronous elastic circuits},
  booktitle = {Proc. International Workshop on Timing Issues in the
                 Specification and Synthesis of Digital Systems (TAU)},
  month = feb,
  year = 2006,
  pages = {16--21},
  pdf = {files/self_tau06.pdf}
}
@inproceedings{Clariso05acsd,
  author = {Robert Claris\'o and Jordi Cortadella},
  title = {Verification of Concurrent Systems with Parametric
               Delays Using Octahedra},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  month = jun,
  year = 2005,
  pages = {122--131},
  pdf = {files/oct_acsd05.pdf}
}
@inproceedings{Cortadella05a,
  author = {Jordi Cortadella and Kyller Gorg{\^{o}}nio and Fei Xia and Alex Yakovlev},
  title = {Automatic Synthesis of Asynchronous Communication Mechanisms},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  month = jun,
  year = 2005,
  pages = {166--175},
  pdf = {files/acm_acsd05.pdf}
}
@incollection{Clariso05pn,
  author = {Robert Claris\'o and Enric Rodr\'{\i}guez-Carbonell and Jordi Cortadella},
  title = {Derivation of Non-structural Invariants of {P}etri Nets Using
               Abstract Interpretation},
  booktitle = {Application and Theory of Petri Nets 2004},
  series = {Lecture Notes in Computer Science},
  volume = 3536,
  month = jun,
  year = 2005,
  pages = {188--207},
  publisher = {Springer-Verlag},
  pdf = {files/atpn05_absint.pdf}
}
@misc{Rodriguez05,
  author = {Enric Rodr\'{\i}guez-Carbonell and Jordi Cortadella},
  title = {Inference of Numerical Relations from Digital Circuits},
  howpublished = {Extended abstract of the presentation at the
                  First International Workshop on Numerical \& Symbolic
                  Abstract Domains (NSAD)},
  month = jan,
  year = 2005,
  address = {Paris},
  pdf = {files/nsad05.pdf}
}
@inproceedings{Cortadella04c,
  author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and Christos P. Sotiriou},
  title = {Coping with the variability of combinational logic delays},
  booktitle = {Proc. International Conf. Computer Design (ICCD)},
  month = oct,
  year = 2004,
  pages = {505--508},
  pdf = {files/iccd04_variability.pdf}
}
@incollection{Clariso04a,
  author = {Robert Claris\'o and Jordi Cortadella},
  title = {The Octahedron Abstract Domain},
  booktitle = {11th Static Analysis Symposium (SAS)},
  series = {Lecture Notes in Computer Science},
  volume = 3148,
  month = aug,
  year = 2004,
  pages = {312--327},
  publisher = {Springer-Verlag},
  pdf = {files/sas2004.pdf}
}
@inproceedings{Baneres04a,
  author = {D. Ba{\~n}eres and Jordi Cortadella and Mike Kishinevsky},
  title = {A Recursive Paradigm to Solve Boolean Relations},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  month = jun,
  year = 2004,
  pages = {416--421},
  note = {{\bf Best paper award}},
  pdf = {files/dac04.pdf},
  ppt = {files/dac04.ppt}
}
@inproceedings{Blunno04,
  author = {Ivan Blunno and Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and Kelvin Lwin and Christos P. Sotiriou},
  title = {Handshake protocols for de-synchronization},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  month = apr,
  year = 2004,
  pages = {149--158},
  note = {{\bf Best paper award}},
  pdf = {files/async04.pdf},
  ppt = {files/async04.ppt}
}
@inproceedings{Cortadella04,
  author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and
                Kelvin Lwin and Christos P. Sotiriou},
  title = {From Synchronous to Asynchronous: An Automatic Approach},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  month = feb,
  year = 2004,
  volume = 2,
  pages = {1368-1369},
  pdf = {files/date04.pdf}
}
@inproceedings{Clariso04,
  author = {Robert Claris\'o and Jordi Cortadella},
  title = {Verification of Timed Circuits with Symbolic Delays},
  booktitle = {Proc. of Asia and South Pacific Design Automation Conference},
  month = jan,
  year = 2004,
  pages = {628--633},
  pdf = {files/aspdac04.pdf}
}
@inproceedings{Modi04,
  author = {Nilesh Modi and Jordi Cortadella},
  title = {Boolean decomposition using two-literal divisors},
  booktitle = {Proc. International Conference on VLSI Design},
  month = jan,
  year = 2004,
  pdf = {files/vlsi04.pdf}
}
@inproceedings{Carmona03,
  author = {Josep Carmona and Jordi Cortadella},
  title = {{ILP} models for the synthesis of asynchronous
                control circuits},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  month = nov,
  year = 2003,
  pages = {818--825},
  pdf = {files/iccad03.pdf}
}
@inproceedings{Cortadella03b,
  author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and
                Yosinori Watanabe},
  title = {Quasi-static scheduling for concurrent architectures},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  month = jun,
  pages = {29--40},
  year = 2003,
  pdf = {files/acsd03.pdf}
}
@inproceedings{Cortadella03a,
  author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and
                Christos P. Sotiriou},
  title = {A concurrent model for de-synchronization},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = may,
  year = 2003,
  pages = {294--301},
  pdf = {files/iwls03_extended.pdf}
}
@inproceedings{Clariso03,
  author = {Robert Claris\'o and Jordi Cortadella},
  title = {Verification of Timed Circuits with Symbolic Delays},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = may,
  year = 2003,
  pages = {310--317},
  pdf = {files/iwls03_verif.pdf}
}
@incollection{Carmona02b,
  author = {Josep Carmona and Jordi Cortadella},
  title = {Input/Output Compatibility of Reactive Systems},
  booktitle = {International Conference on Formal Methods in 
                  Computer-Aided Design (FMCAD)},
  year = 2002,
  month = nov,
  pages = {360--377},
  series = {Lecture Notes in Computer Science},
  volume = 2517,
  editor = {M. Aagaard and J.W. O'Leary},
  publisher = {Springer-Verlag},
  pdf = {files/fmcad02.pdf}
}
@incollection{Cortadella02pn,
  author = {Jordi Cortadella and Alex Kondratyev and
	     Luciano Lavagno and Claudio Passerone and Yosinori Watanabe},
  title = {Quasi-static scheduling of independent tasks for reactive
               systems},
  booktitle = {Application and Theory of Petri Nets 2002},
  series = {Lecture Notes in Computer Science},
  volume = 2360,
  month = jun,
  year = 2002,
  publisher = {Springer-Verlag},
  pages = {80--99},
  ps = {files/atpn02_qss.ps.gz}
}
@inproceedings{Cortadella02c,
  author = {Jordi Cortadella},
  title = {Bi-decomposition and tree-height reduction for timing
                optimization},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = jun,
  year = 2002,
  pdf = {files/iwls02.pdf}
}
@inproceedings{Clariso02,
  author = {Robert Claris\'o and Jordi Cortadella and Alex Kondratyev and
		Luciano Lavagno and Claudio Passerone and Yosinori Watanabe},
  title = {Synthesis of Embedded Software for reactive Systems},
  booktitle = {Int. Workshop on Integration of Specification Techniques
		  for Applications in Engineering (Satellite event of
		  ETAPS 2002)},
  month = apr,
  year = 2002,
  pages = {2-20},
  ps = {files/int02.ps.gz}
}
@inproceedings{Pena02,
  author = {Marco A. Pe{\~n}a and Jordi Cortadella and Enric Pastor and A. Smirnov},
  title = {A Case Study for the Verification of Complex Timed Circuits:
               {IPCMOS}},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  month = mar,
  year = 2002,
  pages = {44-51},
  pdf = {files/date02.pdf}
}
@inproceedings{Cornetta01b,
  author = {G. Cornetta and Jordi Cortadella},
  title = {Asynchronous Multipliers with Variable-Delay Counters},
  booktitle = {8th IEEE Int. Conf. on Electronics, Circuits and Systems
                 (ICECS)},
  volume = {II},
  pages = {701--705},
  month = sep,
  year = 2001,
  pdf = {files/icecs2001.pdf}
}
@inproceedings{Carmona01,
  author = {Josep Carmona and Jordi Cortadella and Enric Pastor},
  title = {A structural encoding technique for the synthesis of
	       asynchronous circuits},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  year = 2001,
  month = jun,
  pages = {157--166},
  pdf = {files/icacsd01.pdf}
}
@inproceedings{Cornetta01,
  author = {Gianluca Cornetta and Jordi Cortadella},
  title = {A Multi-Radix Approach to Asynchronous Division},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  year = 2001,
  month = mar,
  pages = {25--34},
  pdf = {files/async01_div.pdf}
}
@incollection{Cortadella00b,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and
	     Luciano Lavagno and Alexandre Yakovlev},
  title = {Hardware and {P}etri nets: Application to asynchronous
     circuit design},
  booktitle = {Application and Theory of Petri Nets 2000},
  series = {Lecture Notes in Computer Science},
  volume = 1825,
  pages = {1--15},
  month = jun,
  year = 2000,
  publisher = {Springer-Verlag},
  ps = {files/atpn00_hwpn.ps.gz}
}
@inproceedings{Cortadella00a,
  author = {Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and
     Marc Massot and Sandra Moral and Claudio Passerone and Yosinori
     Watanabe and Alberto Sangiovanni-Vincentelli},
  title = {Task generation and compile-time scheduling for mixed
     data-control embedded software},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {489--494},
  month = jun,
  year = 2000,
  pdf = {files/dac00_qss.pdf}
}
@inproceedings{Pena00,
  author = {Marco A. Pe{\~n}a and Jordi Cortadella and Alex Kondratyev
     and Enric Pastor},
  title = {Formal verification of safety properties in timed circuits},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {2--11},
  year = 2000,
  month = apr,
  pdf = {files/async00_ver.pdf}
}
@inproceedings{Cortadella00,
  author = {Jordi Cortadella and Gabriel Valiente},
  title = {A relational view of subgraph isomorphism},
  booktitle = {Proc. International Seminar on Relational Methods in
		   Computer Science},
  pages = {45--54},
  month = jan,
  year = 2000,
  pdf = {files/relmics00.pdf}
}
@inproceedings{Saito99a,
  author = {Hiroshi Saito and Alex Kondratyev and Jordi Cortadella
	       and Luciano Lavagno and Alexander Yakovlev},
  title = {What is the cost of Delay Insensitivity?},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {316--323},
  year = 1999,
  month = nov,
  pdf = {files/iccad99_di.pdf}
}
@inproceedings{Cortadella99a,
  author = {Jordi Cortadella and Michael Kishinevsky and Steven M. Burns
	       and Ken Stevens},
  title = {Synthesis of asynchronous control circuits with automatically
     generated timing assumptions},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {324--331},
  year = 1999,
  month = nov,
  pdf = {files/iccad99_rt.pdf}
}
@inproceedings{Saito99b,
  author = {Hiroshi Saito and Alex Kondratyev and Jordi Cortadella
	       and Luciano Lavagno and Alexander Yakovlev},
  title = {Bridging modularity and optimality: delay-insensitive
	       interfacing in asynchronous circuits synthesis},
  booktitle = {Proc. IEEE International Conference on Systems, Man and
                 Cybernetics (SMC)},
  volume = 3,
  pages = {899-904},
  year = 1999,
  month = oct,
  pdf = {files/smc99_di.pdf}
}
@incollection{Pastor99,
  author = {Enric Pastor and Jordi Cortadella and Marco A. Pe{\~n}a},
  title = {{Structural Methods to Improve the Symbolic Analysis of Petri
               Nets}},
  booktitle = {Application and Theory of Petri Nets 1999},
  series = {Lecture Notes in Computer Science},
  volume = 1639,
  pages = {26--45},
  month = jun,
  year = 1999,
  publisher = {Springer-Verlag},
  ps = {files/atpn99_symbanal.ps.gz}
}
@inproceedings{Stevens99a,
  author = {Ken Stevens and Shai Rotem and Steven M. Burns and
               Jordi Cortadella and Ran Ginosar and Michael Kishinevsky and
	       Marly Roncken},
  title = {{CAD} Directions for High Performance Asynchronous Circuits},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {116--121},
  month = jun,
  year = 1999,
  pdf = {files/dac99_cad.pdf}
}
@inproceedings{Kondratyev99a,
  author = {Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and
     Luciano Lavagno and Alexander Yakovlev},
  title = {Automatic synthesis and optimization of partially specified
     asynchronous systems},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {110--115},
  month = jun,
  year = 1999,
  pdf = {files/dac99_concred.pdf}
}
@inproceedings{Saito99,
  author = {Hiroshi Saito and Alex Kondratyev and Jordi Cortadella and
               Luciano Lavagno and Alexander Yakovlev},
  title = {What is the cost of Delay Insensitivity?},
  booktitle = {Proc. of the Workshop Hardware Design and {Petri} Nets
                 (within the International Conference on Application and
		 Theory of Petri Nets)},
  pages = {169--189},
  year = 1999,
  month = jun
}
@inproceedings{Taubin99,
  author = {A. Taubin and Alex Kondratyev and Jordi Cortadella and Luciano Lavagno},
  title = {Behavioral transformations to increase the Noise Immunity of
     Asynchronous Specifications},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {36--47},
  year = 1999,
  month = apr,
  pdf = {files/async99_noise.pdf}
}
@inproceedings{Cornetta99,
  author = {Gianluca Cornetta and Jordi Cortadella},
  title = {A Radix-16 {SRT} Division Unit with Speculation of Quotient
	       Digits},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  month = mar,
  year = 1999,
  pages = {74--77},
  pdf = {files/gvlsi99_div.pdf}
}
@inproceedings{Taubin99a,
  author = {A. Taubin and Alex Kondratyev and Jordi Cortadella and Luciano Lavagno},
  title = {Crosstalk Noise Avoidance in Asynchronous Circuits},
  booktitle = {Proc. International Workshop on Timing Issues in the
                 Specification and Synthesis of Digital Systems (TAU)},
  pages = {123--128},
  year = 1999,
  month = mar,
  ps = {files/tau99_noise.ps.gz}
}
@inproceedings{Cortadella98b,
  author = {Jordi Cortadella and Mike Kishinevsky and Alex Kondratyev and Luciano Lavagno
     and A. Taubin and Alex Yakovlev},
  title = {Lazy transition systems: application to timing optimization of
     asynchronous circuits},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {324--331},
  year = 1998,
  month = nov,
  pdf = {files/iccad98_lazy.pdf}
}
@inproceedings{Musoll98a,
  author = {Tom\'as Lang and Enric Musoll and Jordi Cortadella},
  title = {Extension of the Working-Zone-Encoding Method to reduce also
	       the Energy on the Microprocessor Data Bus},
  booktitle = {Proc. International Conf. Computer Design (ICCD)},
  month = oct,
  year = 1998,
  pages = {414--419},
  pdf = {files/iccd98_wz.pdf}
}
@inproceedings{Kishinevsky98a,
  author = {Mike Kishinevsky and Jordi Cortadella and Alex Kondratyev},
  title = {Asynchronous Interface Specification, Analysis and Synthesis},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {2-7},
  year = 1998,
  month = jun,
  pdf = {files/dac98_tutorial.pdf}
}
@inproceedings{Cortadella98a,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Alexandre Yakovlev},
  title = {Automatic handshake expansion and reshuffling using concurrency
     reduction},
  booktitle = {Proc. of the Workshop Hardware Design and {Petri} Nets
                 (within the International Conference on Application and
		 Theory of Petri Nets)},
  pages = {86--110},
  year = 1998,
  month = jun,
  ps = {files/hwpn98_concred.ps.gz}
}
@inproceedings{Pastor98b,
  author = {Enric Pastor and Jordi Cortadella},
  title = {Structural Methods Applied to the Symbolic Analysis of {P}etri
               nets},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = jun,
  year = 1998
}
@inproceedings{Musoll98c,
  author = {Enric Musoll and Tom\'as Lang and Jordi Cortadella},
  title = {Reducing the energy of address and data buses with the
      working-zone encoding technique and its effect on multimedia
      applications},
  booktitle = {Proc. of the Power Driven Microarchitecture Workshop},
  month = jun,
  year = 1998,
  pages = {3--8},
  ps = {files/isca_lp98.ps.gz}
}
@inproceedings{Cortadella98c,
  author = {Jordi Cortadella},
  title = {Combining structural and symbolic methods for the
      verification of concurrent systems},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  year = 1998,
  month = mar,
  pages = {2--7},
  pdf = {files/csd98_verif.pdf}
}
@inproceedings{Kondratyev98,
  author = {Alex Kondratyev and Jordi Cortadella and Mike Kishinevsky and Luciano Lavagno
     and A. Taubin and Alex Yakovlev},
  title = {Identifying State Coding Conflicts in Asynchronous System
     Specifications Using {Petri} Net Unfoldings},
  booktitle = {Int. Conf. on Application of Concurrency to System Design},
  year = 1998,
  month = mar,
  pages = {152--163},
  pdf = {files/csd98_unfold.pdf}
}
@inproceedings{Pastor98a,
  author = {Enric Pastor and Jordi Cortadella},
  title = {Efficient Encoding Schemes for Symbolic Analysis of {P}etri
     Nets},
  booktitle = {Proc. Design, Automation and Test in Europe (DATE)},
  month = mar,
  year = 1998,
  pages = {790--795},
  pdf = {files/date98_encoding.pdf}
}
@inproceedings{Cortadella97a,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Enric Pastor and Alexandre Yakovlev},
  title = {Decomposition and technology mapping of speed-independent
     circuits using {Boolean} relations},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  year = 1997,
  month = nov,
  pdf = {files/iccad97_decomp.pdf}
}
@inproceedings{Musoll97,
  author = {Enric Musoll and Tom\'as Lang and Jordi Cortadella},
  title = {Exploiting the locality of memory references to reduce the
               address bus energy},
  booktitle = {International Symposium on Low Power Electronics and Design},
  month = aug,
  year = 1997,
  pages = {202--207},
  pdf = {files/islp97.pdf}
}
@incollection{Kishinevsky97a,
  author = {Michael Kishinevsky and Jordi Cortadella and Alex Kondratyev
     and Luciano Lavagno and Alexander Taubin and Alex Yakovlev},
  editor = {Pierre Az{\'e}ma and Gianfranco Balbo},
  title = {Coupling asynchrony and interrupts: Place Chart Nets and their
     Synthesis},
  booktitle = {Application and Theory of Petri Nets 1997},
  pages = {328--347},
  year = 1997,
  month = jun,
  publisher = {Springer-Verlag},
  address = {Toulouse, France},
  volume = 1248,
  series = {Lecture Notes in Computer Science}
}
@inproceedings{Roig97a,
  author = {Oriol Roig and Jordi Cortadella and Marco A. Pe{\~n}a and
     Enric Pastor},
  title = {Automatic generation of synchronous test patterns for
               asynchronous circuits},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {620--625},
  year = 1997,
  month = jun,
  pdf = {files/dac97_test.pdf}
}
@inproceedings{Semenov97a,
  author = {Alex Semenov and Alexandre Yakovlev and Enric Pastor and
     Marco A. Pe{\~n}a and Jordi Cortadella},
  title = {Synthesis of Speed-Independent Circuits from {STG}-unfolding
     Segment},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  pages = {16--21},
  month = jun,
  year = 1997,
  pdf = {files/dac97_unfold.pdf}
}
@inproceedings{Cortadella97d,
  author = {Jordi Cortadella and Luciano Lavagno and Ellen Sentovich},
  title = {Logic Synthesis Techniques for Embedded Control Code
               Optimization},
  booktitle = {Proc. International Workshop on Logic Synthesis},
  month = jun,
  year = 1997
}
@inproceedings{Semenov97,
  author = {Alex Semenov and Alexandre Yakovlev and Enric Pastor and
     Marco A. Pe{\~n}a and Jordi Cortadella and Luciano Lavagno},
  title = {Partial order based approach to synthesis of speed-independent
     circuits},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {254--265},
  year = 1997,
  month = apr,
  publisher = {IEEE Computer Society Press},
  pdf = {files/async97_unfold.pdf}
}
@inproceedings{Kondratyev97,
  author = {Alex Kondratyev and Michael Kishinevsky and Jordi Cortadella
     and Luciano Lavagno and Alex Yakovlev},
  title = {Technology Mapping for speed-independent Circuits:
     decomposition and resynthesis},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {240--253},
  year = 1997,
  month = apr,
  publisher = {IEEE Computer Society Press},
  pdf = {files/async97_decomp.pdf}
}
@inproceedings{Cortadella97,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Alex Yakovlev},
  title = {Technology mapping of speed-independent circuits based on
     combinational decomposition and resynthesis},
  booktitle = {Proc. European Design and Test Conference},
  pages = {98--105},
  year = 1997,
  pdf = {files/edtc97_techmap.pdf}
}
@inproceedings{Lavagno97,
  author = {Luciano Lavagno and Jordi Cortadella and Alberto
               Sangiovanni-Vincentelli},
  title = {Embedded Code Optimization via Common Control Structure
              Detection},
  booktitle = {International Workshop on Hardware/Software Co-Design
                (Codes/CASHE)},
  month = mar,
  year = 1997
}
@inproceedings{Cortadella96b,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Alexandre Yakovlev},
  title = {{Petrify}: a tool for manipulating concurrent specifications and
     synthesis of asynchronous controllers},
  booktitle = {XI Conference on Design of Integrated Circuits and Systems},
  year = 1996,
  month = nov,
  pages = {205--210},
  address = {Barcelona},
  ps = {files/dcis96_petrify.ps.gz}
}
@inproceedings{Lang96,
  author = {Tom\'as Lang and Enric Musoll and Jordi Cortadella},
  title = {Redundant adder for reduced output transitions},
  booktitle = {XI Conference on Design of Integrated Circuits and Systems},
  year = 1996,
  month = nov,
  pages = {17--22},
  address = {Barcelona},
  ps = {files/dcis96_adder.ps.gz}
}
@inproceedings{Cortadella96c,
  author = {Jordi Cortadella and Rosa M. Badia and Ferm\'{\i}n S\'anchez},
  title = {A mathematical formulation of the loop pipelining problem},
  booktitle = {XI Conference on Design of Integrated Circuits and Systems},
  year = 1996,
  month = nov,
  pages = {355--360},
  address = {Barcelona},
  ps = {files/dcis96_loop.ps.gz}
}
@inproceedings{Sintes96,
  author = {L. Sintes and J. Escudero and M.A. Pe{\~n}a and Oriol Roig and J.
              Cortadella and J. Carrabina},
  title = {Flujo de dise{\~n}o as\'{\i}ncrono con la biblioteca
              {DCVSL\_LIB} para {ES2 ECPD10}},
  booktitle = {Actas del {II} Congreso sobre Tecnolog\'{\i}as Aplicadas
                a la Ense{\~n}anza de la Electr\'onica},
  address = {Sevilla},
  month = sep,
  year = 1996,
  pages = {161--166}
}
@inproceedings{Sanchez96a,
  author = {Ferm\'{\i}n S\'anchez and Jordi Cortadella},
  title = {{RESIS}: A new methodology for register optimization in software
              pipelining},
  booktitle = {Proc. European Conference on Parallel Processing
                ({EURO-PAR})},
  month = aug,
  year = 1996,
  ps = {files/europar96.ps.gz}
}
@inproceedings{Cortadella96a,
  author = {Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Alex Yakovlev},
  title = {Methodology and Tools for State Encoding in Asynchronous
     Circuit Synthesis},
  booktitle = {Proc. ACM/IEEE Design Automation Conference},
  year = 1996,
  pdf = {files/dac96_csc.pdf}
}
@inproceedings{Sanchez96,
  author = {Ferm\'{\i}n S\'anchez and Jordi Cortadella},
  title = {Maximum-throughput software pipelining},
  booktitle = {Proc. International Conference on Massively Parallel
                Computing Systems},
  month = may,
  year = 1996,
  pages = {483--490},
  pdf = {files/mpcs96_swpipe.pdf}
}
@inproceedings{Pena96,
  author = {Marco A. Pe{\~{n}}a and Jordi Cortadella},
  title = {Combining Process Algebras and {Petri} Nets for the Specification
     and Synthesis of Asynchronous Circuits},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  year = 1996,
  month = mar,
  publisher = {IEEE Computer Society Press},
  pdf = {files/async96_papn.pdf}
}
@inproceedings{Musoll96,
  author = {Enric Musoll and Jordi Cortadella},
  title = {Optimizing {CMOS} circuits for low power using transistor
               reordering},
  booktitle = {Proc. European Design and Test Conference},
  month = mar,
  year = 1996,
  pages = {222-232},
  pdf = {files/edtc96_lowpower.pdf}
}
@inproceedings{Pastor96,
  author = {Enric Pastor and Jordi Cortadella and Oriol Roig and Alex Kondratyev},
  title = {Structural Methods for the Synthesis of Speed-Independent
               Circuits},
  booktitle = {Proc. European Design and Test Conference},
  pages = {340--347},
  year = 1996,
  month = mar,
  publisher = {IEEE Computer Society Press},
  pdf = {files/edtc96_struct.pdf}
}
@inproceedings{Cortadella96,
  author = {Jordi Cortadella and Mike Kishinevsky and Alex Kondratyev
     and Luciano Lavagno and Alex Yakovlev},
  title = {Complete state encoding based on the theory of regions},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  year = 1996,
  month = mar,
  publisher = {IEEE Computer Society Press},
  pdf = {files/async96_csc.pdf}
}
@inproceedings{Pastor95a,
  author = {Enric Pastor and Jordi Cortadella},
  title = {Cover approximations for the synthesis of speed-independent
              circuits},
  booktitle = {Proc. of the {IFIP} International Workshop on Logic and
                Architecture Synthesis},
  month = dec,
  year = 1995,
  pages = {150--159},
  ps = {files/wlas95_cover.ps.gz}
}
@inproceedings{Pena95,
  author = {Marco A. Pe{\~n}a and Jordi Cortadella},
  title = {Programaci\'on {VLSI} y s\'{\i}ntesis de circuitos
             as\'{\i}ncronos mediante composici\'on de redes de {P}etri},
  booktitle = {Actas del X Congreso de Dise{\~n}o de Cirtuios Integrados
                 y Sistemas},
  address = {Zaragoza},
  month = nov,
  year = 1995,
  pages = {65--70},
  ps = {files/dcis95_pncomp.ps.gz}
}
@inproceedings{Cortadella95,
  author = {Jordi Cortadella and Mike Kishinevsky and Luciano Lavagno and Alex Yakovlev},
  title = {Synthesizing {Petri} Nets from State-Based Models},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {164--171},
  year = 1995,
  pdf = {files/iccad95_regions.pdf}
}
@inproceedings{Sanchez95,
  author = {Ferm\'{\i}n S\'{a}nchez and Jordi Cortadella},
  title = {Time Constrained Loop Pipelining},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {592--596},
  year = 1995,
  month = nov,
  pdf = {files/iccad95_loop.pdf}
}
@inproceedings{Musoll95b,
  author = {Enric Musoll and Jordi Cortadella},
  title = {Low-power array multipliers with transition-retaining barriers},
  booktitle = {Power and Timing Modeling, Optimization and Simulation
                 (PATMOS)},
  month = oct,
  year = 1995,
  pages = {227--238},
  ps = {files/patmos95_mul.ps.gz}
}
@inproceedings{Musoll95a,
  author = {Enric Musoll and Jordi Cortadella},
  title = {Scheduling and resource binding for low power},
  booktitle = {International Symposium on System Synthesis},
  month = sep,
  year = 1995,
  pages = {104--109},
  pdf = {files/iss95_lowpower.pdf}
}
@incollection{Roig95a,
  author = {Oriol Roig and Jordi Cortadella and Enric Pastor},
  title = {Verification of asynchronous circuits by {BDD}-based model
               checking of {Petri} nets},
  booktitle = {Application and Theory of {Petri} Nets 1995},
  pages = {374--391},
  year = 1995,
  month = jun,
  volume = 815,
  series = {Lecture Notes in Computer Science},
  publisher = {Springer-Verlag},
  ps = {files/atpn95_verif.ps.gz}
}
@inproceedings{Roig95,
  author = {Oriol Roig and Jordi Cortadella and Enric Pastor},
  title = {Hierarchical Gate-Level Verification of Speed-Independent
               Circuits},
  booktitle = {Asynchronous Design Methodologies},
  pages = {129--137},
  year = 1995,
  month = may,
  publisher = {IEEE Computer Society Press},
  pdf = {files/wcasync95_verif.pdf}
}
@inproceedings{Musoll95,
  author = {Enric Musoll and Jordi Cortadella},
  title = {High-level synthesis techniques for reducing the activity of
               functional units},
  booktitle = {International Symposium on Low Power Design},
  month = apr,
  year = 1995,
  pages = {94--104},
  ps = {files/islp95_hls.ps.gz}
}
@inproceedings{Pastor95,
  author = {Enric Pastor and Jordi Cortadella and Oriol Roig},
  title = {A new look at the conditions for the synthesis of
               speed-independent circuits},
  booktitle = {Proc. of the Great Lakes Symposium on VLSI},
  month = mar,
  year = 1995,
  pages = {230-235},
  pdf = {files/gvlsi95_synth.pdf}
}
@inproceedings{Kondratyev95,
  author = {Alex Kondratyev and Jordi Cortadella and Mike Kishinevsky
     and Enric Pastor and Oriol Roig and Alex Yakovlev},
  title = {Checking {S}ignal {T}ransition {G}raph implementability by
     symbolic {BDD} traversal},
  booktitle = {Proc. European Design and Test Conference},
  pages = {325--332},
  year = 1995,
  month = mar,
  address = {Paris, France},
  pdf = {files/edtc95_stg_bdd.pdf}
}
@inproceedings{Cortadella94,
  author = {Jordi Cortadella and Luciano Lavagno and
     Peter Vanbekbergen and Alexandre Yakovlev},
  title = {Designing  Asynchronous Circuits from Behavioral Specifications
     with Internal Conflicts},
  booktitle = {Proc. International Symposium on Advanced Research in
                 Asynchronous Circuits and Systems},
  pages = {106--115},
  year = 1994,
  month = nov,
  pdf = {files/async94_conflicts.pdf}
}
@inproceedings{Roig94,
  author = {Oriol Roig and Enric Pastor and Jordi Cortadella},
  title = {Verificaci\'on de circuitos independientes de la velocidad con
              modelos simb\'olicos de redes de {P}etri},
  booktitle = {Actas del IX Congreso de Dise{\~n}o de Cirtuios Integrados
                 y Sistemas},
  address = {Gran Canaria},
  month = nov,
  year = 1994,
  pages = {307--312},
  ps = {files/dcis94_verif.ps.gz}
}
@incollection{Pastor94,
  author = {Enric Pastor and Oriol Roig and Jordi Cortadella and
     Rosa M. Badia},
  title = {{Petri} net Analysis Using Boolean Manipulation},
  booktitle = {Application and Theory of Petri Nets 1994},
  year = 1994,
  month = jun,
  ps = {files/PRCB94a.ps.gz},
  publisher = {Springer-Verlag},
  series = {Lecture Notes in Computer Science},
  volume = 815,
  pages = {416--435}
}
@inproceedings{Cortadella94b,
  author = {Jordi Cortadella and J.A.B. Fortes and E.A. Lee},
  title = {Design and Prototyping of Digital Signal Processing Systems
               (minitrack Introduction)},
  booktitle = {Proc. Hawaii International Conf. System Sciences},
  month = jan,
  year = 1994,
  pages = {56--57}
}
@inproceedings{Pastor93,
  author = {Enric Pastor and Jordi Cortadella},
  title = {Polynomial Algorithms for the Synthesis of Hazard-free
     Circuits from Signal Transition Graphs},
  booktitle = {Proc. International Conf. Computer-Aided Design (ICCAD)},
  pages = {250--254},
  year = 1993,
  month = nov,
  publisher = {IEEE Computer Society Press},
  pdf = {files/iccad93_stg.pdf}
}
@inproceedings{Roig93,
  author = {Oriol Roig and Enric Pastor and Rosa M. Badia and
               Jordi Cortadella},
  title = {S\'{\i}ntesis de M\'aquinas de Control para Circuitos
             As\'{\i}ncronos},
  booktitle = {Actas del VIII Congreso de Dise{\~n}o de Cirtuios Integrados
                 y Sistemas},
  address = {M\'alaga},
  month = nov,
  year = 1993,
  pages = {326--331},
  ps = {files/dcis93_synth.ps.gz}
}
@inproceedings{Pastor93a,
  author = {Enric Pastor and Jordi Cortadella},
  title = {An efficient unique state coding algorithm for Signal Transition
              Graphs},
  booktitle = {Proc. International Conf. Computer Design (ICCD)},
  month = oct,
  year = 1993,
  pages = {174--177},
  pdf = {files/iccd93_usc.pdf}
}
@inproceedings{Cortadella93,
  author = {Jordi Cortadella and Tom\'as Lang},
  title = {Division with Speculation of Quotient Digits},
  booktitle = {International Symposium on Computer Arithmetic},
  month = jun,
  year = 1993,
  pages = {87--94},
  pdf = {files/arith93_div.pdf}
}
@inproceedings{Badia93,
  author = {Rosa M. Badia and Jordi Cortadella},
  title = {High-Level Synthesis of Asynchronous Systems: Scheduling
     and Process Synchronization},
  booktitle = {Proc. European Conference on Design Automation (EDAC)},
  pages = {70--74},
  year = 1993,
  month = feb,
  publisher = {IEEE Computer Society Press},
  pdf = {files/edac93_hls.pdf}
}
@inproceedings{Cortadella92b,
  author = {Jordi Cortadella and Rosa M. Badia and Enric Pastor and
               Abelardo Pardo},
  title = {Achilles: A High-Level Synthesis System for Asynchronous
              Circuits},
  booktitle = {6th ACM/IEEE International Workshop on High-Level Synthesis},
  month = nov,
  year = 1992,
  pages = {87--94},
  ps = {files/whls92_ahilles.ps.gz}
}
@inproceedings{Cortadella92c,
  author = {Jordi Cortadella and Rosa M. Badia and Enric Pastor and
               Abelardo Pardo},
  title = {Achilles: Sistema de S\'{\i}ntesis de Alto Nivel para Circuitos
              As\'{\i}ncronos},
  booktitle = {Actas del VII Congreso de Dise{\~n}o de Cirtuios Integrados
                 y Sistemas},
  address = {Toledo},
  month = nov,
  year = 1992,
  pages = {357--362}
}
@inproceedings{Cortadella92,
  author = {Jordi Cortadella and R. M. Badia},
  title = {An Asynchronous Architecture Model for Behavioral Synthesis},
  booktitle = {Proc. European Conference on Design Automation (EDAC)},
  pages = {307--311},
  month = mar,
  year = 1992,
  publisher = {IEEE Computer Society Press},
  pdf = {files/edac92_arch.pdf}
}
@inproceedings{Badia91a,
  author = {Rosa M. Badia and Jordi Cortadella},
  title = {Optimizaci\'on del tiempo de ciclo en la planificaci\'on de
              operaciones},
  booktitle = {Actas del VI Congreso de Dise{\~n}o de Cirtuios Integrados
                 y Sistemas},
  address = {Santander},
  month = nov,
  year = 1991,
  pages = {275--280}
}
@inproceedings{whitcomb91,
  author = {G.S. Whitcomb and Jordi Cortadella and A.R. Newton},
  title = {Functional Level Synthesis of the {TRISC} Processor},
  booktitle = {IFIP International Workshop on Application of Synthesis and
                 Simulation},
  month = aug,
  year = 1991
}
@inproceedings{Cortadella91,
  author = {Jordi Cortadella and Rosa M. Badia and Eduard Ayguad\'e},
  title = {Scheduling in a Continuous Area-Time Design Space:
               A Simulated-Annealing-based Approach},
  booktitle = {5th ACM/IEEE International Workshop on High-Level
                 Synthesis},
  month = mar,
  year = 1991,
  pages = {102--117}
}
@inproceedings{Badia91,
  author = {Rosa M. Badia and Jordi Cortadella and Eduard Ayguad\'e},
  title = {Computer-Aided Synthesis of Data-path by using a
               Simulated-Annealing-based approach},
  booktitle = {9th IAESTED International Symposium on Applied Informatics},
  month = feb,
  year = 1991,
  pages = {326--329}
}
@inproceedings{Cortadella88a,
  author = {Jordi Cortadella and Jos\'e M. Llaber\'{\i}a},
  title = {Evaluating {$A+B=K$} conditions in constant time},
  booktitle = {Proc. International Symposium on Circuits and Systems},
  month = jun,
  year = 1988,
  pages = {243--246},
  pdf = {files/iscas88_abk.pdf}
}
@inproceedings{Jove88,
  author = {Jordi Cortadella and Teodor Jov\'e},
  title = {Executing zero-delay branches with a Branch Target Buffer in a
               {RISC} processor},
  booktitle = {36th International Symposium on Mini and Microcomputers
                 and their applications},
  month = jun,
  year = 1988,
  pages = {373--376}
}
@inproceedings{Domingo88,
  author = {Jordi Domingo and Jos\'e M. Llaber\'{\i}a and Mateo Valero
               and Jordi Cortadella},
  title = {Arbitration Techniques for Packet Switching Multistage
               Networks},
  booktitle = {3rd. International Conference on Supercomputing},
  volume = {III},
  month = may,
  year = 1988,
  pages = {240--248}
}
@inproceedings{Cortadella88d,
  author = {Jordi Cortadella},
  title = {Executing Branch Instructions with Zero Time Delay in a {RISC}},
  booktitle = {IEEE Computer Society Workshop on VLSI},
  address = {Clearwater Beach (Florida)},
  month = feb,
  year = 1988
}
@inproceedings{Gonzalez88,
  author = {Antonio Gonz\'alez and Jos\'e M. Llaber\'{\i}a and Jordi
               Cortadella},
  title = {Zero-delay cost branches in {RISC} architectures},
  booktitle = {6th International Symposium on Applied Informatics},
  month = feb,
  year = 1988,
  pages = {24--27}
}
@inproceedings{Cortadella87d,
  author = {Jordi Cortadella and Jos\'e M. Llaber\'{\i}a},
  title = {Arquitecturas {RISC}},
  booktitle = {IV Jornadas de Dise{\~n}o L\'ogico},
  address = {Barcelona},
  year = 1987,
  pages = {19--27}
}
@inproceedings{Domingo87,
  author = {Jordi Domingo and Jos\'e M. Llaber\'{\i}a and Jordi Cortadella
               and Mateo Valero},
  title = {Arbitration methods to increase the throughput of packed
               switching buffered shuffle-exchange interconnection networks},
  booktitle = {International Symposium on Applied Informatics},
  month = feb,
  year = 1987,
  pages = {78--81}
}
@inproceedings{Cortadella87c,
  author = {Jordi Cortadella and Jos\'e M. Llaber\'{\i}a},
  title = {An intelligent {IFU} for pipelined processors that makes
               control instructions transparent to the execution unit},
  booktitle = {International Symposium on Applied Informatics},
  month = feb,
  year = 1987,
  pages = {188--191}
}
@inproceedings{Cortadella87b,
  author = {Jordi Cortadella and Jos\'e M. Llaber\'{\i}a},
  title = {A low cost evaluation methodology for new architectures},
  booktitle = {International Symposium on Applied Informatics},
  month = feb,
  year = 1987,
  pages = {188--191}
}
@inproceedings{Cortadella87,
  author = {Jordi Cortadella and Jos\'e M. Llaber\'{\i}a},
  title = {Procesadores {RISC}},
  booktitle = {1er. Seminario del grupo tem\'atico de Arquitectura y
                 Tecnolog\'{\i}a de Ordenadores sobre Arquitecturas
		 Multiprocesadores y sus Aplicaciones},
  month = jan,
  year = 1987,
  address = {Madrid}
}
@inproceedings{Gonzalez85,
  author = {Luis Gonz\'alez and Jordi Cortadella and Jos\'e M.
               Llaber\'{\i}a},
  title = {Performance Evaluation of a Loosely Coupled Multiprocessor
               Architecture with Two Buses},
  booktitle = {International Symposium on Mini and Microcomputers
                 and their applications},
  month = jun,
  year = 1985,
  pages = {473--476}
}

This file was generated by bibtex2html 1.99.